HemNyheterHalvledareASML: "Nästa generations EUV-scanners är inte för dyra"

ASML: ”Nästa generations EUV-scanners är inte för dyra”

Ekonomichefen bemöter Semianalysis kritik om att nästa generations EUV-maskiner inte är ekonomiskt gångbara.

I ett uppmärksammat inlägg på Semianalysis argumenterade analytikerna Dylan Patel för att ASML:s nästa generations EUV-scanners av typen High-NA inte är ekonomiskt gångbara. Istället drogs slutsatsen att dagens doningar möjliggör mindre transistorer med dubbla exponeringar, multi-patterning, till ett lägre pris än en exponering med de nya maskinerna.

Avoiding double or even quadruple patterning drastically decreases process complexity. In my view, the Semianalysis report doesn’t sufficiently take into account the value of reducing process complexity.

Roger Dassen, ekonomichef (CFO) på ASML

I en intervju med Bits & Chips bemöter ASML:s ekonomichef Roger Dassen påståendet. Förvisso medges att nästa generations maskiner i Twinscan EXE:5000-serien är dyra i drift, men att minskad komplexitet och att slippa använda multi-patterning vid tillverkning är värt kostnaden. Han menar även på att just minska komplexitet är någonting Dylan Patel inte tagit med i sina beräkningar, vilket är en sanning med modifikation.

Semianalysis menar att minskad komplexitet är välkommet, men att det inte är det viktigaste för tillverkare när de väljer utrustning. De tillstår att chiptillverkare är vana vid komplexitet och att de med sina maskiner prioriterar att kunna behandla så många kiselskivor som möjligt på så kort tid som möjligt. Här ska således första generationens EUV-maskiner i Twinscan NXE:3000-serien briljera, även i ett scenario där fler exponeringar krävs än med en Twinscan EXE:5000-maskin. Med andra ord menar Semianalysis att tillverkare prioriterar volymer även om resultatet är lägre yield per kiselskiva.

ASML:s ekonomichef bemöter inte påståendet om att dagens EUV-maskiner excellerar gällande hastighet (volymer), men berättar att minska komplexitet är viktigt. Han kastar här världens största tillverkare av x86-processorer under bussen med kommentaren att man ”bara behöver ha ett samtal med Intel”. För ett drygt decennium sedan började Intel utveckla sin 10-nanometersteknik, idag kallad Intel 7, utan EUV-litografi och skulle istället köra in i kaklet med multi-patterning i många steg med traditionell DUV-litografi. Det talas ofta om att vissa steg i processen krävde hela fyra exponeringar, quad-patterning, men obekräftade uppgifter talar för att Intel i enstaka steg rentav gick upp till aldrig tidigare skådade sex exponeringar.

Resultatet för Intel blev en teknik som utan överdrift kan beskrivs som trasig och som i omgångar fick senareläggas. Efter att ha dragit ned på ambitionsnivån för tekniken kunde de flera år senare lansera sina första produkter under 2019 i form av den bärbara processorfamiljen ”Ice Lake”. Processorerna hade dock låg yield, lägre energieffektivitet än önskat och klockfrekvenserna landade på strax under 4,0 GHz. För en konsumentprocessorer är runt 5,0 GHz eller högre önskvärt. De ständiga förseningarna gjorde att Intel tappade sitt tillverkningstekniska försprång som industrin tidigare trodde var helt ointagligt.

ASML anser med Intel som exempel föga förvånande att det finns argument för att planera för att köpa in nästa generations EUV-scanners, men att det skiljer från tillverkare till tillverkare när det är dags att göra en sådan investering. Rimligen borde maskinerna prioriteras av de den trio chiptillverkare som ligger i spjutspetsen med tillverkning om cirka 3 nanometer och nedåt – TSMC, Samsung och Intel.

Av de tre är dock Intel den enda som omfamnat EUV-scanners av typen High-NA och fick nyligen den allra första kommersiella maskinen levererad till sig. De kommer inledningsvis även köpa merparten av de maskiner ASML kan producera. TSMC tros inte ta High-NA i bruk än på många år och Samsung har ännu inte uttalat sig officiellt i frågan. Samsung och ASML ingick dock nyligen ett samarbete värt 7,7 miljarder USD, som går ut på att anlägga ett centrum för forskning i Sydkorea och ett av fokusområdena är just EUV.

Fördelar och nackdelar med High-NA

Akronymen High-NA står för high numerical aperture, där ett högre värde medger högre upplösning. Dagens EUV-verktyg av typen Low-NA, low numerical aperture, har ett NA-värde om 0,33 och en upplösning om 13,5 nanometer. Med High-NA ökar värdet till 0,55 och möjliggör upplösningen 8 nanometer, vilket väntas bli avgörande för kretsar i 2-nanometersklassen och nedåt – om inte multi-patterning används med traditionella EUV-verktyg av typen Low-NA. I teorin kan High-NA med en exponering göra 1,7 gånger mindre transistorer och därmed öka transistordensiteten 2,9 gånger.

För att göra High-NA möjligt krävs nya och större objektiv, vilket i sin tur kräver större speglar för att koncentrera EUV-strålningen. Av den anledningen använder Twinscan NEX:5000-maskiner en anamorfisk optikdesign, som istället för att krympa ett mönster likformigt gör detta 4 gånger i en riktning och 8 gånger i den andra. Detta löser problemet med EUV-strålningens infallsvinkel på hårkorset (reticle), men för med sig tydliga nackdelar.

Den första är att den övre gräns som finns för att tillverka en monolitisk krets, reticle limit, halveras från 33 × 26 millimeter (858 mm²) till 16,5 × 26 millimeter (429 mm²). Det här kan bli ett problem för tillverkare som har för vana att vara uppe och nosa vid den övre gränsen, vilket sedan många år tillbaka är fallet för Nvidia med deras beräkningskretsar för bland annat artificiell intelligens (AI). Samtidigt är det möjligt att industrin i allt lägre utsträckning kommer använda enorma kretsar och i allt högre gå över till chiplet-designer. Oavsett vilket är detta en betydande begränsning vid designen av kretsar och förändring av en långvarig paradigm.

Det här är också själva kärnan i kritiken mot driftkostnaden för High-NA. För att kunna processa lika många kiselskivor som Low-NA behövs dubbelt så många exponeringar per kiselskiva – längre tillverkningsprocess. Det här är någonting ASML gör sitt bästa att motverka genom att snabbare röra kiselskivan för varje exponeringssteg och höja dosen till på strålningen till 20 millijoule per kvadratmillimeter (20mJ/cm²).

En annan nackdel av mindre teknisk karaktär är det faktum att Twinscan NEX:5000-maskiner med High-NA är fysiskt större och framförallt högre. Sedan länge har fabriker för kretstillverkning haft en viss takhöjd och nästa generations EUV-verktyg går helt enkelt inte in. Att göra en totalrenovering för att lyfta takhöjden och under tiden stänga ned all produktion är inte trolig, och därför lär maskinerna endast komma till användning i helt nya fabriker.

Driftkostnad är en sak och en annan är kostnaden för själva maskinerna. Dagens EUV-scanners med Low-NA kostar upp till cirka 200 miljoner USD och High-NA tros dubblera detta till uppemot 400 miljoner USD. En enda maskin kan alltså kosta så mycket som 4 miljarder kronor, vilket kan ställas i relation till Sveriges regerings reformutrymme för 2024 som låg på totalt 40 miljarder kronor.

Jacob Hugosson
Jacob Hugosson
Chefredaktör och medgrundare av Semi14. Datornörd som med åren utvecklat en fallenhet för halvledarbranschen. Har under 13 år skrivit för tidningar i print och online, hos vilka han verkat som alltifrån chefredaktör till community manager.
Relaterade artiklar
Annons

Läs också