HemNyheterHalvledareIntel avtäcker 1,4 nanometer och byter namn på foundry-divisionen

Intel avtäcker 1,4 nanometer och byter namn på foundry-divisionen

Det kortare namnet Intel Foundry sällskapas av en kavalkad nya tillverkningstekniker, från Intel 14A till specialiserade noder.

Under tillställningen med det nätta namnet Intel Foundry Services (IFS) Direct Connect 2024 talade bolaget om flera halvledartekniska nyheter och status för sin satsning på kontraktstillverkning. Ett mindre betydelsefullt, men ack så viktigt, tillkännagivande är att IFS döpts om till det mer koncisa Intel Foundry (utan Services). Det både rullar bättre på tungan och gör att vi slipper använda en ful akronym i framtiden, är Semi14:s subjektiva analys kring namnändringen.

Vidare låter Intel meddela att de snart är i mål med sitt löfte om fem noder på fyra år (5N4Y). Under 2023 gick bolaget in i storskalig produktion (HVM) på tekniken Intel 4 och inom de närmaste månaderna följs denna upp av förbättrade Intel 3. Detta följs upp av den helt nya noden Intel 20A (2 nanometer) under 2024 års andra hälft, för att redan ett halvår senare efterträdas av Intel 18A som är en förbättring av tidigare nämnda.

Tillverkningstekniken Intel 20A blir bolagets första med transistorer av typen Gate-All-Around Field-Effect Transistor (GAAFET), RibbonFET, och med denna introduceras även PowerVIA, Backside Power Delivery (BSPD) – den första implementationen i industrin. Med PowerVIA flyttar strömförsörjningen till kiselskivans baksida, vilket gör att denna inte behöver konkurrera om plats med transistorernas utsignaler.

Fördelar med PowerVIA är sänkt resistans och kapacitans, mildrat spänningsfall och högre klockfrekvenser. När ledningsbanor för båda delar inte konkurrera om plats blir de även lättare att dra och bidrar till ökad transistordensitet. Nackdelen är att antalet steg i tillverkningsprocessen ökar och därigenom även kostnaderna, men Intel menar att fördelarna kompenserar för detta.

Den stora nyheten är tillkännagivandet av Intel 14A, som blir bolagets nästa helt nya tekniknod efter Intel 18A. Tekniken introducerar nästa generations RibbonFET och PowerVIA, men några utfästelser om transistordensitet framgår inte för att inte ge konkurrenter något hum om Intels ambitioner. Av den grafiska färdplanen att döma går tekniken in i produktion 2026, men huruvida en skarp produktlansering äger rum då eller om detta dröjer till 2027 återstår att se.

Samtidigt passar Intel på att delge fler detaljer om sina tillverkningstekniska planer, där samtliga nyheter från nu och framåt blir lika relevanta för såväl Intels egen produktportfölj som dess kunder inom kontraktstillverkning. I sedvanlig ordning uppdateras tillverkningstekniker löpande och för att markera förbättringarna introduceras tre nya suffix:

  • P = Prestandaförbättringar (och energieffektivitet)
  • T = Through Silicon Via (TSV) för vertikal stapling (3D)
  • E = Specialiserade funktioner

Utöver Intel 3 som är bolagets första spjutspetsteknik med externa kunder i åtanke planeras inte mindre än tre varianter utöver originalet. Den första (Intel 3-T) med stöd för 3D-stapling med tekniken Foveros, den andra (Intel 3-E) med nya för icke-specificerade funktioner och en tredje (Intel 3-PT) som utöver 3D-stapling får förbättrad prestanda. Både Intel 18A och Intel 14 har varianter planerade, men här nämns endast två och i inget fall med suffixet ”T”. En kvalificerat tvärsäker gissning är att fler avtäcks vid ett senare skede.

Intel är givetvis inte utan planer på legacy-sidan, vilket är ett krav att ha i sortimentet för att kunna spela i foundry-ligan på riktigt. Idag fortfarande högrelevanta Intel 7 (tidigare 10 nanometer) placerar Intel redan i denna kategori och denna ska släppas i diverse bokstavskombinationer, likaså Intel 16 (tidigare 22 nanometer).

För att få tillgång till än äldre och specialiserade noder försökte Intel förvärva israeliska Tower Semiconductor, men då Kinas konkurrensmyndighet drog frågan i långbänk lades affären ned. Istället har de ingått ett nära samarbete, där Tower Semiconductor förutom att bistå med tillverkning av äldre snitt investerat i en av Intels fabriker. Därtill har Intel ingått ett samarbete med taiwanesiska United Microelectronics Corporation (UMC) kring ett samarbete på 12 nanometer.

Det är ingen högoddsare att Intel bygger vidare på sitt samarbete med Tower Semiconductor och möjligen även UMC för att möta behoven inom legacy-tillverkning. Anledningen till detta är att det saknas realistiska alternativ till förvärv på foundry-sidan för att få tillgång till sådana tekniker en masse. Ett alternativ skulle kunna vara Globalfoundries, men i upptakten till planerna med Tower Semiconductor gick rykten om att Intel försökt sig på just detta. Som allt annat kan saker förändras med tiden.

Att ha fina fabriker och avancerad tillverkning är endast ett fåtal bitar av pusslet för att lyckas inom kontraktstillverkning. Genom programmet Intel Foundry Services Accelerator hjälper bolaget sina kunder att så smärtfritt som möjligt anpassa sina kretsar för Intels tekniker. I detta ingår samarbeten med 34 partner, bland annat inom Electronic Design Automation (EDA), med stora namn likt Ansys, Cadence, Synopsys, Siemens och Keysight. Ingår gör även arbete med IP-block redo för integration, vilket kan inkludera alltifrån arkitekturer från ARM till RISC-V, PCI Express och andra nödvändiga kommunikationsbussar.

I samband med tillkännagivandet talade Intel om att de haft en tape-out på Clearwater Forest, som är kodnamn för en framtida generation processorer tillverkad på Intel 18A. Detta blir den första kretsen i produktion med stöd för kommunikationsbussen Universal Chiplet Interconnect Express (UCIe) – en standardiserad lösning för chiplet-designer. Standarden har fått med bland annat AMD, ARM, Nvidia, TSMC, Samsung och 120 andra aktörer, vilket gör att Intels omfamnande av UCIe inom ramen för kontraktstillverkning bör ses som den större nyheten än bolagets framtida produkt.

Det råder inga tvivel om att Intels högt flygande ambitioner som kontraktstillverkare står fast. Om något visar IFS Direct Connect 2024 att de lagt i en högre växel och det inte enbart på affärssidan. Med Intel 20A och Intel 18A hinner de före TSMC och Samsung till tekniker i 2-nanometersklassen, och när dessa kan anses komma ikapp är bolaget redo att ta klivet till Intel 14A. Om Intel lyckas återta den halvledartekniska kronan kommer hjälpa dem i ambitionerna om att bli näst störst efter TSMC återstår att se.

KällaIntel
Jacob Hugosson
Jacob Hugosson
Chefredaktör och medgrundare av Semi14. Datornörd som med åren utvecklat en fallenhet för halvledarbranschen. Har under 13 år skrivit för tidningar i print och online, hos vilka han verkat som alltifrån chefredaktör till community manager.
Relaterade artiklar
Annons

Läs också