HemNyheterHalvledareApplied Materials effektiviserar EUV-tillverkning med pattern shaping

Applied Materials effektiviserar EUV-tillverkning med pattern shaping

En ny teknik som beskrivs som den mest innovativa på decennier ska hjälpa till att kapa kostnader per tillverkad kiselplatta och sänka uppstartskostnader.

Vid tillverkning av kretsar används litografiska processer där ljus ritar mönster på en kiselplatta, en process som upprepas dussintals gånger under en tidsrymd om ett antal månader. I takt med att det blir allt mer komplext att ta fram mer avancerade tekniker behöver processen inte enbart upprepas fler gånger, utan varje steg i processen kan därtill ta mer tid att åstadkomma.

Nu går Applied Materials ut med att de tagit fram en ny teknik som ska hjälpa till att sänka kostnaderna vid tillverkning. Det handlar om en ny produkt kallad Centura Sculpta Pattern System, som använder en teknik kallad pattern shaping för att minska behovet av multi patterning. Det senare är en av många trick som används för att kringgå begränsningarna hos maskiner med tekniker som Deep Ultraviolet (DUV) och tronföljaren Extreme Ultraviolet (EUV), som när deras upplösning inte räcker till får upprepa samma mönster fler gånger. Det bidrar till ökad komplexitet med allt vad det innebär – lägre yield, längre ledtider, högre energikostnader och slitage på maskinerna. Dyrare slutprodukter, helt enkelt.

The new Sculpta system is a great example of how advances in materials engineering can complement EUV lithography to help chipmakers optimize chip area and cost while also tackling the growing economic and environmental challenges of advanced chipmaking. The Sculpta system’s unique pattern-shaping technology combines Applied’s deep expertise in ribbon-beam and materials removal technologies to create a breakthrough innovation for the patterning engineer’s toolkit.

– Dr. Prabu Raja, Senior VP and GM of Semiconductor Products Group

Med pattern shaping menar Applied Materials att det är möjligt att reducera antalet gånger där multi patterning krävs eller i vissa fall att helt eliminera detta. Med tekniken skapas plasma som formas elektrostatiskt till vad som kallas en ribbon beam, som sedan vinklas mot kiselplattan för att med precision ändra formen på ritade mönster i valfri riktning.

Fördelarna som Centura Sculpta Pattern System ska föra med sig är flera. Sett till investeringar i nya fabriker ska kostnaden per 100 000 wafer starts per month (WSPM) kunna sänkas med 250 miljoner dollar. Sett till kostnader per tillverkad kiselplatta talas det om besparingar om 50 dollar, 15 kilowattimmar (kWh), 15 liter vatten och minskat utsläpp av växthusgasar om mer än 0,35 kilogram CO2-ekvivalenter.

I pressmeddelandet framgår att tunga industriaktörer arbetat med Applied Materials för att ta Centura Sculpta Pattern System i mål. Bland dessa är Intel och Samsung, varav den första låter meddela att de omfamnar tekniken för framtida tillverkningstekniker. Samsung som också varit delaktig under utvecklingens gång nöjer sig med att prisa tekniken, men att även de köper in plattformen är ingen högoddsare.

Applied Materials’ new Sculpta system is a revolution in patterning that brings an entirely new capability to chipmakers,. As the industry keeps pushing the limits of chip scaling, we need breakthroughs like Applied’s pattern-shaping technology that can improve chip power, performance, area and cost while also reducing design cost, and energy and materials consumption. Sculpta is the most innovative new process step in wafer fabrication since the introduction of CMP.

– Dan Hutscheson, vice styrelseordförande på Techinsights

Analytikerfirman Techinsights nöjer sig inte med att endast lovorda Centura Sculpta Pattern System, utan går så långt som att kalla tekniken för den mest innovativa inom halvledartillverkning sedan chemical mechanical polishing (CMP) började användas på bred front under 1990-talet. CMP använder en kombination av kemisk etsning och polering för att jämna till ytan på kiselplattor.

Tekniken från Applied Materials skulle kunna ses som ett alternativ till EUV-maskiner, som nederländska ASML idag och på överskådlig framtid har monopol på. Här är dock bolaget tydliga med att Centura Sculpta Pattern System inte är tänkt som en konkurrerande produkt, utan att komplement att använda tillsammans med EUV.

Jacob Hugosson
Jacob Hugosson
Chefredaktör och medgrundare av Semi14. Datornörd som med åren utvecklat en fallenhet för halvledarbranschen. Har under 13 år skrivit för tidningar i print och online, hos vilka han verkat som alltifrån chefredaktör till community manager.
Relaterade artiklar
Annons

Läs också