HemArtiklarASML:s framgångsår 2023 och utsikterna för 2024

ASML:s framgångsår 2023 och utsikterna för 2024

Jonas Sundqvist gräver i vad som ligger bakom ASML:s framgångar och när bolaget väntas gå om Applied Materials.

År 2023 kommer ASML nå anmärkningsvärda framgångar, överträffa sina konkurrenter och bli den främsta leverantören av utrustning för halvledartillverkning. Med en imponerande prognos för intäktstillväxten på 30 procent för året blomstrar ASML i ett branschlandskap som präglas av konsekventa prestationer. Med en orderbok till brädden fylld med beställningar av avancerade system för deep ultraviolet (DUV) och extreme ultraviolet (EUV) samt ökande efterfrågan från Kina, fortsätter ASML:s tillväxt – trots hinder likt störningar i leveranskedjan och förändringar i lagstiftning. ASML fortsätter symbolisera halvledarsektorns innovation och motståndskraft.

För ASML är år 2023 betydande på flera plan, där bolaget bland annat väntas gå om Applied Materials (AMAT) som den främsta leverantören av halvledarutrustning. Detta konststycke tillskrivs ASML:s starka intäktstillväxt, som väntas nå en 30-procentig ökning 2023. Samtidigt går Applied Materials i motsatt riktning med en prognostiserad nedgång om 20 procent, enligt Seeking Alpha. ASML:s framgångar kan tillskrivas en orderstock av utrustning för DUV- och EUV-litografi som vida överstiger vad bolaget kan leverera – i huvudsak driven av ökad efterfrågan i Kina.

På grund av starka DUV-intäkter och trots ökande osäkerheter förväntar sig ASML en stark tillväxt för 2023 med en nettoomsättningsökning på upp till 30 procent och en liten förbättring av bruttomarginalen, jämfört med 2022. ASML Holdings intäkter för de tolv månader som slutade den 30 juni 2023 var 27,293 miljarder USD, en ökning med 25,97 procent jämfört med föregående år. Applied Materials intäkter beräknas öka med 2,6 procent till 26,33 miljarder dollar, vilket innebär att ASML blir störst sett till omsättning mot slutet av 2023, enligt Yahoo Finance.

Trots utmaningar som störningar i leveranskedjan och en fabriksbrand år 2022 har ASML konsekvent rankats bland de tre främsta leverantörerna av halvledarutrustning sedan 2017. Deras monopol på EUV-marknaden kombinerat med växande acceptans av bolagets DUV-verktyg bidrar ASML:s starka tillväxt.

Potentiella motvindar inkluderar dock fortsatta problem i leveranskedjan – tidigare problem som 2022 års fabriksbrand i Berlin men kanske framförallt kommande USA-ledda sanktioner som påverkar exporten till Kina. ASML har tagit itu med vissa utmaningar, men risken för ytterligare amerikanska sanktioner 2024 utgör ett hot mot företagets fortsatta tillväxt.

Utöver de amerikanska sanktionerna mot Kina står ASML nu också inför nya exportkontroller som införts av Nederländerna, vilket påverkar leveranserna till Kina. Även om företaget tonar ned kontrollernas omedelbara ekonomiska konsekvenser, förväntas de påverka specifika DUV-system, som ett led i de globala ansträngningarna att begränsa Kinas framsteg inom halvledarindustrin.

I denna volatila miljö kommer ASML:s förmåga att anpassa sig till nya regler och behålla sitt tekniska ledarskap att vara avgörande. Effekterna av dessa restriktioner, särskilt gällande leveranser till Kina, kan påverka företagets tillväxtbana inom halvledarindustrin. Oavsett dessa utmaningar förblir ASML en framstående aktör med betydande potential på marknaden för halvledarutrustning.

ASML kommer mot slutet av 2023 leverera branschens första High-NA (numerisk apertur) EUV litografiscanner, vilket är nästa stora framsteg inom avancerad chiptillverkning. Modellen Twinscan EXE:5000 kommer med ett NA-värde på 0,55 att göra det möjligt för chiptillverkare att utforska vad som är möjligt att tillverka i framtiden. Innovationen är avgörande för att uppnå en upplösning på 8 nanometer, vilket är lämpligt för tillverkningstekniker bortom det som marknadsförs som 5 nanometer. Intel väntas bli den första kunden, men detaljerna kring integration och kommersialisering är ännu oklara. Kostnaden för nästa generations scanners är heller inte att vifta bort – rapporter antyder kostnader runt 300-400 miljoner dollar per enhet, upp från cirka 200 miljoner för första generationens EUV-utrustning.

För att sätta det hela i en kontext planerade Intel ursprungligen att använda ASML:s High-NA-verktyg för sin processnod 18A (1,8 nanometer), planerad för högvolymstillverkning 2025, i samband med leveranser av ASML:s Twinscan EXE:5200. Intel tidigarelade dock sin 18A-produktion till den senare delen av 2024. Denna förändring innebär istället användning av ASML:s Twinscan NXE:3600D/3800E med dubbla exponeringar och pattern-shaping-utrustning i form av Applied Materials Endura Sculpta. Målet var att minska beroendet av EUV-tekniker för dubbelexponeringar.

Applied Materials Centura Sculpta och ASML:s EUV-litografi

Applied Materials Centura Sculpta är en pattern shaping-maskin utrustad med en unik algoritm som kan manipulera mönster som produceras av en EUV-scanner. Den har kapacitet att sträcka dessa mönster i en användardefinierad riktning längs X-axeln. Denna process minskar i praktiken utrymmet mellan olika delar och förtätar kretsen som tillverkas. Detta innebär att ASML och Applied Materials framöver kommer att konkurrera på ett intressant område som tidigare inte varit aktuellt.

ASML:s produkter

ASML är specialiserat på tillverkning av avancerade litografisystem som är avgörande för halvledartillverkning. Deras produktportfölj innehåller följande viktiga erbjudanden:

  • Litografimaskiner för extremt ultraviolett ljus (EUV) – ASML:s EUV-litografimaskiner ligger i framkant när det gäller teknik för halvledartillverkning. Dessa maskiner använder extremt korta våglängder av ljus för att skapa intrikata mönster på kiselskivor, vilket möjliggör produktion av avancerade och mindre halvledarchip. EUV-tekniken är avgörande för nästa generations processorer och minneskretsar.
  • Maskiner för djup ultraviolett (DUV) litografi – DUV-litografisystem är en annan viktig komponent i ASML:s produktsortiment. De använder längre våglängder av ljus jämfört med EUV och används för ett brett spektrum av halvledartillämpningar, inklusive produktion av minnen och logik. ASML:s DUV-system är kända för sin precision och tillförlitlighet.
  • TWINSCAN-serien – Inom DUV-litografikategorin erbjuder ASML TWINSCAN-serien, som omfattar maskiner som TWINSCAN NXT:2000i, NXT:2050i och NXT:2100i. Dessa system är konstruerade för immersionslitografi, där kiselskivan och linsen är nedsänkta i en vätska, vilket förbättrar precision och upplösning.
  • EUV-system med hög numerisk apertur (NA) – ASML har vidareutvecklat sina litografimaskiner genom att öka den numeriska aperturen (NA), en viktig parameter som påverkar upplösningen. System med hög NA kan framställa ännu mindre detaljer på halvledarplattor, vilket möjliggör tillverkning av mycket avancerade chip.

ASML:s litografimaskiner anses vara kritisk infrastruktur för halvledartillverkning, och företagets teknologiska ledarskap inom området har gjort det till en dominant branschaktör. Företagets förmåga att förnya och anpassa sina litografisystem för att möta de ständigt ökande kraven från halvledartillverkarna har varit en nyckelfaktor för dess framgång och tillväxtutsikter. Den senaste tidens exportkontroller och geopolitiska påtryckningar, särskilt vad gäller leveranser till Kina, innebär dock ytterligare utmaningar och osäkerheter för ASML och dess specialiserade produkter.

Jonas Sundqvist
Jonas Sundqvist
Semi14-skribent och rådgivare. Dresden-baserad medgrundare och VD vid ALE-bolaget Alixlabs, senioranalytiker på TECHCET samt adjungerad universitetslektor på Linköpings Universitet. Dessutom advisory board-medlem på EFDS, med ett förflutet från Fraunhofer, Qimonda, Infineon och TU Dresden.
Relaterade artiklar
Annons

Läs också